産業調査レポート(市場資料)の紹介ブログ

お問い合わせ先 www.marketreport.jp/contact

極端紫外線(EUV)リソグラフィ市場:装置別(光源、マスク、光学系)、エンドユーザー別(集積デバイスメーカー(IDM)、ファウンドリ)、地域別(米州、欧州、アジア太平洋地域)-2028年までの世界予測

市場調査レポートのイメージ 

1 はじめに (ページ - 19) 1.1 研究目的 1.2 市場の定義 1.3 調査範囲 1.3.1 対象市場 図 1 euv リソグラフィー市場のセグメンテーション 1.3.2 含まれるものと除外されるもの 1.3.3 地域範囲 1.3.4年を考慮 1.4 通貨 1.5単位を考慮 1.6 リミット 1.7 利害関係者 1.8 変更点の概要 1.8.1 景気後退の影響

2 研究方法 (ページ - 24) 2.1 調査データ 図2 euvリソグラフィー市場:調査デザイン 2.1.1 二次データ 2.1.1.1 二次資料からの主要データ 2.1.1.2 主な二次情報源 2.1.2 一次データ 2.1.2.1 一次資料からの主要データ 2.1.2.2 一次インタビューの主な参加者 2.1.2.3 一次インタビューの内訳 2.1.2.4 主要業界インサイト 2.1.3 二次調査と一次調査 2.2 市場規模の推定 図3 市場規模の推定方法:アプローチ1(供給側):euvリソグラフィ市場における主要コンポーネントサプライヤーの収益 図4 市場規模の推定方法:アプローチ2(供給側):euvリソグラフィ市場における主要コンポーネントメーカーの推定売上高の図解 図5 市場規模推計方法:アプローチ3(需要側)-地域に基づくeuvリソグラフィ市場規模のボトムアップ推計 2.2.1 ボトムアップ・アプローチ 2.2.1.1 ボトムアップ分析による市場規模算出のアプローチ 図 6 市場規模の推定方法:ボトムアップ・アプローチ 2.2.2 トップダウン・アプローチ 2.2.2.1 トップダウン分析による市場規模導出のアプローチ 図 7 市場規模の推定方法:トップダウン・アプローチ 2.3 市場シェア推定 2.4 データの三角測量 図8 データの三角測量 2.5 リスク評価 表1 リスク要因分析 2.5.1 景気後退の影響分析 2.6 研究の仮定と限界 2.6.1 研究の前提 2.6.2 研究の限界

3 事業概要 (ページ - 38) 3.1 リソグラフィー市場:景気後退の影響 図 9 euv リソグラフィー市場:景気後退の影響 図10 2023年のEuvリソグラフィ市場はファウンドリーが主導権を握る 図 11 アジア太平洋地域の euv リソグラフィー市場は予測期間中に最も高い成長率で成長する

4 プレミアム・インサイト (ページ - 41) 4.1 euvリソグラフィ市場におけるプレーヤーの魅力的な機会 図 12 マイクロプロセッサー集積回路、メモリー・デバイスにおける技術利用が、Euv リソグラフィー・システム・プロバイダーにチャンスをもたらす 4.2 アジア太平洋地域のeuvリソグラフィ市場:エンドユーザー・国別 図13 2023年、アジア太平洋地域のEuvリソグラフィ市場はファウンドリと台湾が最大シェアを占める 4.3 euvリソグラフィー市場、エンドユーザー別 図14 2028年のEuvリソグラフィ市場はファウンドリが大きなシェアを占める 4.4 euvリソグラフィー市場、コンポーネント別 図15 2028年に最大の市場シェアを占める光源 4.5 euvリソグラフィー市場、国別 図 16 予測期間中、アジア太平洋地域の euv リソグラフィ市場で最も高い CAGR を記録するのは韓国

5 市場概要(ページ - 44) 5.1 導入 5.2 市場ダイナミクス 図 17 euv リソグラフィー市場:促進要因、阻害要因、機会、課題 5.2.1 ドライバー 5.2.1.1 先端技術とノードへの急速な移行 5.2.1.2 ハイパフォーマンス・コンピューティング(HPC)への需要の高まり 5.2.1.3 複雑化する集積回路 図 18 euv リソグラフィー市場の促進要因:影響分析 5.2.2 拘束 5.2.2.1 多額の先行設備投資の必要性 5.2.2.2 高度なインフラと熟練労働力の要件 図 19 euv リソグラフィー市場の阻害要因:影響分析 5.2.3 機会 5.2.3.1 先端EUVリソグラフィ製品および半導体バイス開発への投資の増加 5.2.3.2 マイクロプロセッサー、IC、メモリーモジュール/チップを含む新規アプリケーションにおけるEUVL技術の導入の増加 5.2.3.3 次世代メモリ・デバイス向け先端メモリ・モジュール/チップの開発 5.2.3.4 強化された視覚体験を提供する高度なディスプレイの商業化 5.2.3.5 フォトニクスとオプティクスの製造における高度なパターニング技術の利用 図 20 euv リソグラフィー市場の機会:インパクト分析 5.2.4 課題 5.2.4.1 高出力と高速チップ生産の実現と維持 5.2.4.2 マスク欠陥の検出と修復、歩留まり問題の修正 図 21 euv リソグラフィー市場の課題:インパクト分析 5.3 バリューチェーン分析 図 22 euv リソグラフィーのバリューチェーン分析 5.3.1 研究開発エンジニア 5.3.2 コンポーネント・メーカー 5.3.3 システム・インテグレーター 5.3.4 マーケティング&セールス・サービス・プロバイダー 5.3.5 エンドユーザー 5.4 euvリソグラフィ市場におけるプレーヤーの収益シフトと新たな収益ポケット 5.5 生態系マッピング 表2 リソグラフィ・エコシステムにおける各社の役割 図23 euvリソグラフィ市場の主要企業 5.6 価格分析 5.6.1 平均販売価格(ASP)の動向 図24 euvリソグラフィ・システムの展望(2019~2028年 5.7 技術分析 5.7.1 極端紫外線反射率法(Euvr) 5.8 ポーターの5つの力分析 図25 ポーターの5つの力分析 表3 ポーターの5つの力分析とその影響力 5.8.1 競争相手の激しさ 5.8.2 新規参入の脅威 5.8.3 代替品の脅威 5.8.4 買い手の交渉力 5.8.5 サプライヤーの交渉力 5.9 ケーススタディ 5.9.1 ラムリサーチがEuvリソグラフィ技術における重要なブレークスルーを発表 表 4 ラムリサーチ、euv リソグラフィーの解像度、生産性、歩留まりを向上させる革新的なドライレジスト技術を発表 5.9.2 tsmc Limited、asmlのeuvシステムでチップ生産能力を増強 表5 台湾半導体メーカーのeuv技術への取り組みと市場成長 5.10 貿易データ分析 図26 HSコード8442に分類される製品の国別輸出データ(2018-2022年 表6 HSコード8442対応製品の国別輸出シナリオ(2018~2022年)(千米ドル 図27 HSコード8442に分類される製品の国別輸入データ(2018-2022年 表7 HSコード8442対応製品の国別輸入シナリオ(2018~2022年)(千米ドル 5.11 特許分析 5.11.1 文書タイプ 表8 出願特許 図28 2013年から2022年までに出願された特許 5.11.2 出版傾向 図29 特許件数2013年から2022年までの各年の特許公開件数 5.11.3 管轄区域分析 図30 管轄区域分析 5.11.4 トップ特許所有者 図31 2013年から2022年までの特許出願公開件数上位10社 表9 過去10年間の公開特許所有者トップ20 5.12 規制の状況 5.12.1 規制機関、政府機関、その他の組織 表 10 euv リソグラフィー:規制の状況 5.12.2 地域の規制機関、政府機関、その他の組織 表11 北米:規制機関、政府機関、その他の組織のリスト 表12 欧州:規制機関、政府機関、その他の組織のリスト 表13 アジア太平洋地域:規制機関、政府機関、その他の組織のリスト 表14行:規制機関、政府機関、その他の組織のリスト 5.13 主要会議・イベント(2023-2024年 5.14 主要ステークホルダーと購買基準 5.14.1 購入プロセスにおける主要ステークホルダー 図32 エンドユーザーの購買プロセスにおける関係者の影響力 表15 エンドユーザーの購買プロセスにおけるステークホルダーの影響力(%) 5.14.2 主要な購買基準 表16 主な購買基準(エンドユーザー別

6 EUV リソグラフィー市場: 構成要素別 (Page No - 70) 6.1 はじめに 図 33 光源は予測期間中、Euvリソグラフィ市場で最も高いCAGRを記録する 表17 euvリソグラフィ市場、コンポーネント別、2019-2022年(百万米ドル) 表18 euvリソグラフィ市場、コンポーネント別、2023-2028年 (百万米ドル) 6.2 光源 6.2.1 業務用システムにおけるLPP euv光源の幅広い使用が市場を牽引する 6.3 光学 6.3.1 euv光学系の高精度と正確さがeuv露光装置メーカーの採用を後押しする 6.4 マスク 6.4.1 次世代半導体バイス開発への注目の高まりがセグメント成長を促進する 6.5 その他

7 EUV リソグラフィ市場:エンドユーザー別(ページ番号 - 75) 7.1 はじめに 図 34 予測期間中、Euv リソグラフィ市場はファウンドリが高い CAGR を記録する 表19 euvリソグラフィ市場、エンドユーザー別、2019-2022年(百万米ドル) 表20 euvリソグラフィ市場、エンドユーザー別、2023-2028年 (百万米ドル) 表21 euvリソグラフィ市場、2019-2022年(百万台) 表22 euvリソグラフィ市場 2023-2028 (百万台) 7.2 統合機器メーカー(IDSM) 7.2.1 先進的で電力効率の高いマイクロチップとICの開発に注力するIDSの台頭がセグメント成長を押し上げる 表23 集積デバイスメーカー(IDMS):Euvリソグラフィ市場、地域別、2019年~2022年(百万米ドル) 表24 集積デバイスメーカー(IDMS):Euvリソグラフィ市場、地域別、2023年~2028年(百万米ドル) 表25 集積デバイスメーカー(IDMS):アジア太平洋地域のeuvリソグラフィ市場:国別、2019年~2022年(百万米ドル) 表 26 集積デバイスメーカー(IDMS):アジア太平洋地域の euv リソグラフィ市場:国別 2023-2028 (百万米ドル) 7.3 ファウンドリーズ 7.3.1 半導体ノードの絶え間ない進歩がファウンダリーのEuvリソグラフィ需要を促進する 表 27 ファウンドリ:Euvリソグラフィ市場、地域別、2019年~2022年(百万米ドル) 表28 ファウンドリ:Euvリソグラフィ市場、地域別、2023-2028年(百万米ドル) 表 29 ファウンドリ:アジア太平洋地域の euv リソグラフィ市場:国別、2019~2022 年(百万米ドル) 表30 ファウンドリ:アジア太平洋地域のeuvリソグラフィ市場、国別、2023年~2028年(百万米ドル)

8 EUV リソグラフィー市場:地域別(ページ番号 - 81) 8.1 導入 図 35 アジア太平洋地域は予測期間中に euv リソグラフィ市場で最も高い成長率を記録する 表31 euvリソグラフィ市場、地域別、2019-2022年(百万米ドル) 表32 euvリソグラフィ市場、地域別、2023年~2028年(百万米ドル) 8.2 アメリカ 8.2.1 確立した半導体産業が市場成長を支える 図 36 アメリカ:市場スナップショット 表33 アメリカ:Euvリソグラフィ市場、エンドユーザー別、2019年~2022年(百万米ドル) 表34 アメリカ:Euvリソグラフィ市場:エンドユーザー別 2023-2028 (百万米ドル) 8.2.2 アメリカ:不況の影響 8.3 ヨーロッパ 8.3.1 ドイツ、オランダ、フランスによるEuvリソグラフィ製品の研究開発投資が市場を牽引 図 37 欧州:市場スナップショット 表 35 欧州:Euvリソグラフィ市場:エンドユーザー別 2023-2028 (百万米ドル) 8.3.2 欧州:景気後退の影響 8.4 アジア太平洋 図 38 アジア太平洋:市場スナップショット 表36 アジア太平洋地域:Euvリソグラフィ市場、国別、2019年~2022年(百万米ドル) 表37 アジア太平洋地域:Euvリソグラフィ市場、国別、2023年~2028年(百万米ドル) 表38 アジア太平洋地域:Euvリソグラフィ市場、エンドユーザー別、2019年~2022年(百万米ドル) 表39 アジア太平洋地域:Euvリソグラフィ市場、エンドユーザー別、2023年~2028年(百万米ドル) 8.4.1 中国 8.4.1.1 強力な半導体生産能力が市場を牽引する 表40 中国:Euvリソグラフィ市場:エンドユーザー別、2019年~2022年(百万米ドル) 表 41 中国:Euvリソグラフィ市場:エンドユーザー別 2023-2028 (百万米ドル) 8.4.2 日本 8.4.2.1 高品質半導体チップの有名プロバイダーの存在が市場成長を促進する 表42 日本:Euvリソグラフィ市場、エンドユーザー別、2019年~2022年(百万米ドル) 表43 日本:Euvリソグラフィ市場:エンドユーザー別 2023-2028 (百万米ドル) 8.4.3 台湾 8.4.3.1 環境に優しいEUVシステムコンポーネントを開発するための半導体製造企業による多額の投資が市場を牽引する 表44 台湾:Euvリソグラフィ市場:エンドユーザー別、2019年~2022年(百万米ドル) 表45 台湾:Euvリソグラフィ市場:エンドユーザー別 2023-2028 (百万米ドル) 8.4.4 韓国 8.4.4.1 最先端の半導体チップを製造するためにEUVリソグラフィーの使用が増加し、市場の成長を加速する 表 46 韓国:Euv リソグラフィー市場:エンドユーザー別 2019-2022 (百万米ドル) 表 47 韓国:Euv リソグラフィ市場:エンドユーザー別 2023-2028 (百万米ドル) 8.4.5 その他のアジア太平洋地域 表48 その他のアジア太平洋地域:Euvリソグラフィ市場:エンドユーザー別、2019年~2022年(百万米ドル) 表49 その他のアジア太平洋地域:Euvリソグラフィ市場:エンドユーザー別 2023年~2028年 (百万米ドル) 8.4.6 アジア太平洋地域:景気後退の影響

9 競争力のある景観 (ページ - 94) 9.1 概要 図39 2021年から2023年にかけてeuvリソグラフィ市場で主要企業が実施した主要戦略 9.2 市場シェアとランキング分析 表50 euvリソグラフィー市場:競争の度合い 図 40 euv リソグラフィー・コンポーネントを提供する上位 5 社の市場シェア 9.2.1 5社の収益分析 図41 主要企業の5年間の収益分析 9.3 2022年企業評価マトリックス 図 42 euvリソグラフィ市場(世界):主要企業の評価マトリクス(2022年 9.3.1 スターズ 9.3.2 新進リーダー 9.3.3 浸透型プレーヤー 9.3.4 参加者 9.4 競合ベンチマーキング 表 51 会社のフットプリント 表 52 エンドユーザーのフットプリント 表53 地域別フットプリント 9.5 2022年の新興企業/MEの評価マトリックス 図 43 euvリソグラフィ市場:新興企業/MEの評価マトリックス(2022年 9.5.1 進歩的企業 9.5.2 対応する企業 9.5.3 ダイナミック・カンパニー 9.5.4 スターティングブロック 9.5.5 キースタートアップ/メッシュのリスト 表54 euvリソグラフィー市場:主要新興企業/SMのリスト 9.5.6 主要新興企業/ミックスの競合ベンチマーキング 表55 euvリソグラフィー市場:主要新興企業/メッシュの競合ベンチマーキング 9.6 競争シナリオ 9.6.1 ディールス 表 56 euvリソグラフィー市場:案件数(2020年5月~2023年3月

10 企業プロフィール (ページ - 105) (事業概要、提供する製品/ソリューション/サービス、最近の動向、MnMビュー(主な強み/勝つための権利、行った戦略的選択、弱みと競争上の脅威))。 10.1 導入 10.2 主要プレーヤー 10.2.1 ASML 表 57 ASML:会社概要 図44 ASML:企業スナップショット 10.3 主要機器メーカー 10.3.1 カールツァイスAG 表 58 カールツァイス:会社概要 図45 カールツァイス:企業スナップショット 10.3.2 株式会社トッパン 表 59 トッパン:会社概要 図46 トッパン株式会社:会社概要 10.3.3 NTTアドバンスト・テクノロジー株式会社 表60 NTTアドバンスト・テクノロジー株式会社:会社概要 図 47 NTTアドバンスト・テクノロジー株式会社:企業スナップショット 10.3.4 クラ・コーポレーション 表 61 Kla Corporation: 会社概要 図 48 Kla Corporation: 会社概要 10.3.5 株式会社アドバンテスト 表 62 株式会社アドバンテスト:会社概要 図 49 アドバンテスト:会社概要 10.3.6 ウシオ電機 表63 ウシオ電機株式会社:会社概要 図50 ウシオ電機株式会社:会社概要 10.3.7 サス・マイクロテックSE 表64 Suss Microtec se: 会社概要 図 51 Suss Microtec se: 企業スナップショット 10.3.8 agc inc. 表65 AGC Inc.:会社概要 図52 AGC Inc.:会社概要 10.3.9 レーザーテック株式会社 表 66 レーザーテック株式会社:会社概要 図 53 レーザーテック株式会社:会社概要 10.4 その他の主要プレーヤー 10.4.1 ENERGETIQ TECHNOLOGY INC. 10.4.2 Nuflare Technology Inc. 10.4.3 フォトロニクス社 10.4.4 HOYA株式会社 10.4.5 TRUMPF 10.4.6 株式会社リガク 10.4.7 エドマンドオプティクス(株 10.4.8 公園システム 10.4.9 ザイゴ株式会社 10.4.10 イマジンオプティック 10.4.11 アプライド・マテリアルズ事業概要、提供する製品/ソリューション/サービス、最近の動向、MnM View(主な強み/勝つための権利、戦略的選択、弱みと競争上の脅威)の詳細については、未上場企業の場合、把握できない可能性がある。

11 付録 (ページ - 141) 11.1 業界の専門家による洞察 11.2 ディスカッション・ガイド 11.3 knowledgestore: マーケッツの購読ポータル 11.4 カスタマイズ・オプション 11.5 関連レポート 11.6 著者詳細